-- 會員 / 註冊 --  
 帳號:
 密碼:
  | 註冊 | 忘記密碼
3/26 新書到! 3/19 新書到! 3/14 新書到! 12/12 新書到!
購書流程Q & A站務留言版客服信箱
3ds MaxMayaRhinoAfter EffectsSketchUpZBrushPainterUnity
PhotoShopAutoCadMasterCamSolidWorksCreoUGRevitNuke
C#CC++Java遊戲程式Linux嵌入式PLCFPGAMatlab
駭客資料庫搜索引擎影像處理FluentVR+ARANSYS深度學習
單晶片AVROpenGLArduinoRaspberry Pi電路設計CadenceProtel
HadoopPythonStm32CortexLabview手機程式AndroidiPhone
可查書名,作者,ISBN,3dwoo書號
詳細書籍分類

深入淺出玩轉FPGA(第3版)

( 簡體 字)
作者:吳厚航類別:1. -> 電子工程 -> FPGA
譯者:
出版社:北京航空航天大學出版社深入淺出玩轉FPGA(第3版) 3dWoo書號: 47009
詢問書籍請說出此書號!

缺書
NT售價: 275

出版日:6/1/2017
頁數:318
光碟數:0
站長推薦:
印刷:黑白印刷語系: ( 簡體 版 )
加入購物車 加到我的最愛
(請先登入會員)
ISBN:9787512423794
作者序 | 譯者序 | 前言 | 內容簡介 | 目錄 | 
(簡體書上所述之下載連結耗時費功, 恕不適用在台灣, 若讀者需要請自行嘗試, 恕不保證)
作者序:

譯者序:

前言:

內容簡介:

本書收集整理了作者在FPGA專案實踐中的經驗點滴。書中既有常用FPGA 設計方法和技巧的探討,引領讀者掌握FPGA設計的精髓;也有很多生動的項目案例分析,幫助讀者加深對重要知識點的理解,並且這些案例大都以特定的工程項目為依託,有一定的借鑒價值。此外,本書還有多個完整的專案工程實例,讓讀者從系統角度理解FPGA的開發流程。
本書從工程實踐出發,旨在引領讀者學會如何在FPGA 的開發設計過程中發現問題、分析問題並解決問題。本書的主要讀者物件為電子、電腦、控制及資訊等相關專業的在校學生、從事FPGA/CPLD開發設計的電子工程師以及所有電子設計製作的愛好者們。
目錄:

第一部分 基礎普及
筆記1 初識FPGA
一、關於FPGA的一些基本概念
二、關於FPGA的基本結構
筆記2 應用領域
一、邏輯黏合與即時控制
二、信號採集處理與協定實現
三、原型驗證系統、片上系統與其他應用
筆記3 開發流程
一、需求分析到模組劃分
二、設計輸入到綜合優化
三、實現到時序收斂
四、模擬測試到板級調試
第二部分 基本語法
筆記4 語法學習的經驗之談
筆記5 可綜合的語法子集
一、模組聲明類語法:module…endmodule
二、埠聲明:input,output,inout
三、參數定義:parameter
四、信號類型:wire,reg等
五、比較判斷:if…else,case…default…endcase
六、迴圈語句:for
七、任務定義:taskendtask
八、連續賦值:assign,問號運算式(?:)
九、always模組
十、運算操作符
十一、賦值符號:=和<=
筆記6 代碼書寫規範
一、代碼書寫規範
二、識別字
三、格式
四、注釋
筆記7 代碼風格
一、代碼風格概述
二、寄存器電路的設計方式
三、同步以及時鐘的設計原則
四、雙向引腳的控制代碼
五、提升系統性能的代碼風格
第三部分 設計技巧與思想
筆記8 漫談狀態機設計
一、狀態機的基本概念
二、3種不同狀態機寫法
筆記9 重定設計
一、非同步重定與同步重定
二、復位與亞穩態
三、非同步重定、同步釋放
四、PLL配置後的重定設計
筆記10 FPGA重要設計思想及工程應用
一、速度和面積互換原則
二、乒乓操作及串/並轉換設計
三、流水線設計
四、邏輯複製與模組複用
五、模組化設計
六、時鐘設計技巧
筆記11 基於FPGA的跨時鐘域信號處理
一、同步設計思想
二、單向控制信號檢測
三、專用握手信號
四、搞定亞穩態
五、借助於記憶體
第四部分 模擬測試
筆記12 簡單的Testbench設計
一、Testbench概述
二、基本Testbench的搭建
筆記13 Testbench書寫技巧
一、封裝有用的副程式
二、關於變數的定義
三、HDL的並行性
四、結構化Testbench
五、讀/寫紊亂狀態
六、防止同時調用task
筆記14 測試用例設計
一、模擬串口自收發通信
二、乘法器全覆蓋測試
三、可重用MCU讀/寫設計
第五部分 時序分析
筆記15 時序分析基礎
一、基本的時序分析理論
二、時鐘、建立時間和保持時間
三、基本時序路徑分析
四、reg2reg路徑的時序分析
筆記16 reg2pin時序分析案例
筆記17 pin2reg時序分析案例
筆記18 基於TimeQuest的時序分析
一、從Technology Map Viewer分析Clock Setup Slack
二、基於TimeQuest的reg2reg之Tb分析
三、添加時序例外
四、多週期約束的基本用法
五、QuartusⅡ流水線均衡負載設置實例
六、讀SRAM時序約束分析
七、源同步介面的時序模型
八、recovery時序優化一例
九、基於Chip Planner的時序優化一例
第六部分 實踐經驗與感悟
筆記19 系統架構思想
一、FPGA到底能做什麼
二、DMA無處不在
三、圖片顯示速度測試報告
四、仲裁邏輯設計要點
五、硬體加速:用起來很美
六、資料輸送量預估一例
七、秒殺FPGA片間通信
八、FPGA+CPU:並行處理大行其道
筆記20 實踐應用技巧
一、被綜合掉的寄存器
二、Vcrilog中巨集定義位元寬頻來的問題
三、Verilog代碼可攜性設計
四、Cyclone器件全域時鐘盡在掌控
五、CycloneⅢ原型開發調試
六、M4K使用率
七、榨幹FPGA片上存儲資源
八、記憶體實現方式轉換
九、關於MAXⅡ上電和復位的一點討論
十、基於A1tera FPGA的LVDS配置實例
十一、用FPGA的差分輸入實現A/D轉換
十二、守株待兔,收效顯著
筆記21 板級調試
一、複用引腳,陷阱多多
二、EPCS晶片的信號完整性問題
三、都是I/O弱上拉惹的禍
四、被忽略的硬體常識——I/O電氣特性
五、PLL專用輸出引腳帶來的反思
六、毛刺濾波的一些方法
七、基於FPGA的LVDS差分阻抗設計應用實例
八、使用FPGA時鐘展頻技術搞定RE測試
第七部分 專案案例
筆記22 DIY邏輯分析儀
一、背景介紹
二、功能需求及模組劃分
三、資料獲取、觸發及存儲
四、基於VGA的顯示介面設計
筆記23 DIY數碼相框
一、背景介紹
二、功能需求及模組劃分
三、SPI介面控制
四、SD卡資料存儲結構與FAT16檔案系統
五、SD卡初始化及讀操作
六、SDRAM控制器設計
七、BMP格式圖片顯示
第八部分 網路雜文
筆記24 苦練基本功
一、datasheet要看原版
二、開發工具要熟練
三、焊接功底要扎實
四、不要厭煩寫文檔
筆記25 永遠忠於年輕時的夢想
筆記26 年輕正當時
筆記27 FPGA工程師:持守夢想or屈于現實
參考文獻
序: