-- 會員 / 註冊 --  
 帳號:
 密碼:
  | 註冊 | 忘記密碼
3/26 新書到! 3/19 新書到! 3/14 新書到! 12/12 新書到!
購書流程Q & A站務留言版客服信箱
3ds MaxMayaRhinoAfter EffectsSketchUpZBrushPainterUnity
PhotoShopAutoCadMasterCamSolidWorksCreoUGRevitNuke
C#CC++Java遊戲程式Linux嵌入式PLCFPGAMatlab
駭客資料庫搜索引擎影像處理FluentVR+ARANSYS深度學習
單晶片AVROpenGLArduinoRaspberry Pi電路設計CadenceProtel
HadoopPythonStm32CortexLabview手機程式AndroidiPhone
可查書名,作者,ISBN,3dwoo書號
詳細書籍分類

信號、電源完整性仿真設計與高速產品應用實例

( 簡體 字)
作者:毛忠宇 等類別:1. -> 電子工程 -> 電子電氣
譯者:
出版社:電子工業出版社信號、電源完整性仿真設計與高速產品應用實例 3dWoo書號: 48314
詢問書籍請說出此書號!

有庫存
NT售價: 440

出版日:1/1/2018
頁數:400
光碟數:0
站長推薦:
印刷:黑白印刷語系: ( 簡體 版 )
加入購物車 加到我的最愛
(請先登入會員)
ISBN:9787121331220
作者序 | 譯者序 | 前言 | 內容簡介 | 目錄 | 
(簡體書上所述之下載連結耗時費功, 恕不適用在台灣, 若讀者需要請自行嘗試, 恕不保證)
作者序:

譯者序:

前言:

序 言 1
認識“小廣東”阿毛——毛忠宇這位同門小師弟有20多年了,他記憶力超強,幾十年前的芝麻舊事都能翻出來;說話風趣(就是普通話還不夠標準),愛好廣泛,從流行的紅木家私到各種茗茶飲品,樣樣都能點評幾句;而且愛鉆研,在日常工作中總會編點應用小程序來“偷偷懶”,業務能力挺強,因軟硬件皆有涉及,從板級設計到封裝芯片協同設計都有深厚的積累,并常常提出些獨到的想法和見解。
記得去年冬天阿毛與我談過出版SI方面書籍的想法,提到市面上關于高速設計方面的書很多,但是缺乏基于具體實際產品開發應用方面的書籍,如能借助目前團隊眾多的實際產品實例,從產品開發角度來介紹高速設計理念,則既不需要涉及太多太深的理論,又能讓開發工程師方便應用這些知識快速解決手中的實際問題,將是對業界SI知識的一個很好的補充。當時我深有同感,想不到大半年時間已經成冊。縱觀手稿,前面幾個章節介紹了關于PCB設計制作的一些重要內容,這是他們的強項,不懂PCB的制造及設計SI又如何能落地!后面的章節針對高速數據加速卡實際產品案例展開,詳細介紹了如何有效解決目前工程師面臨的絕大部分各種接口的高速總線信號完整性問題,當然也少不了高速設計中最麻煩的孔處理;最后介紹了如何有效解決熱門的電源完整性問題,并且推薦了阿毛自我感覺良好的幾個小程序。筆者曾有幸參觀過他們公司設備齊全的高速實驗室,對書中如何有效利用測試方法處理電容模型寄予厚望。
希望本書能帶給大家一個不同角度的視野,使得產品開發時使用SI仿真方法更接地氣;也希望他們能根據書中內容再通過網絡平臺安排一些線下的培訓課程,設計相應的測試對比實驗,效果會更佳。
陳蘭兵
2017年秋于上海

序 言 2
本書作者在寫第一本《IC封裝基礎與工程設計實例》的時候,就找我作序,當時被我拒絕了。原因很簡單,市面上牛人牛作品太多,多得讓人無從分辨,真要寫好書,就要耐得住寂寞,沒有“板凳一坐十年冷”的精神是不行的,不能走“暢銷”路線。
隨著互聯網的普及,我們真正進入了一個信息爆炸的時代。按理說學習這事應該很容易才對,因為信息資源隨手可得,但人們慢慢發現,學習并非易事,很多所謂的“學習”往往讓人“聽了興奮,過了無痕”。這時,對工程師們來說,找到靠譜的向導和貨真價實的參考書尤為重要。作者在完成第一部作品之后,所在的團隊陸陸續續出版了10多部作品,都是基于一線的工程實踐案例編寫的,其對電子工程技術的專注與無私分享精神讓人欽佩。
我認為,一部優秀的工程技術作品首先要有撲面而來的干貨。如果通篇皆是理論而沒有工程實踐,就不能算是合格的作品,理論和實踐必須“知行合一”。在瀏覽本書目錄之后,疑慮即被打消,FPGA、DDR4、PCIe、USB、QSFP+等都是主流高速應用,甚至對PCB板材、高速過孔、電容模型、電源等都有介紹,作者用一個功能完整的高速大數據加速卡項目作為主線貫穿了全書內容,以實際量產的工程作品作為案例而不是DEMO應用,這很難得。工程師們最需要的就是能手把手照著做、有參考意義的書,本書毫無疑問是滿足了這個需求的。其次,作者的“售后服務”也很有特色,在EDA365網站開辟的答疑版塊和公眾號讓人很容易聯系到作者本人進行答疑交流,而不是賣完書就撒手不管,體現了對讀者負責的態度。
好書是用來讀的,而不是用來收藏的。每逢世界讀書日,朋友圈里都會有很多關于閱讀問題的探討,我們的人均閱讀量比起歐美等發達國家來說要少很多,我們真的不愛學習嗎?問題在哪里?我想,除了我們缺乏良好的閱讀習慣之外,書本身的吸引力也是影響因素之一。我們很多人不是不愿意學習和讀書,而是缺乏好書,缺乏貨真價實能理論聯系實際的優秀作品。為何優秀的作品不容易見到?其實不僅書籍作品如此,生活中很多東西都是這樣的,甚至連馬桶蓋都要去日本買,真的是因為國人崇洋媚外嗎?我想,根源還是在于我們缺乏足夠優秀的產品。要想有優秀的產品,就必須具備工匠精神。什么是工匠精神?我想就是能經得住各種短期利益誘惑,能專心致志,板凳一坐十年冷,把一件產品做到極致的那種精神。電子科技產品要靠實實在在的技術研發和大量的工程實踐積累才有可能做到極致,而在這些技術研發工作中,高速互連技術又是基礎支撐,基礎支撐平臺扎實了,上層建筑才更加穩固。
在很多500強大公司里,往往信號完整性仿真工作就有幾十號人的團隊,其他如電磁兼容性、PCB Layout、可制造性工藝、高速板材與連接器選型驗證、測試等分工通常一應俱全,有了完善的底層基礎技術支撐,上層建筑才能水到渠成。可惜的是,限于資金、規模等很多原因,很多中小型企業往往并不具備這樣專業分工的資源,隨著信號速率越來越快,底層的基礎技術研究常常成為很多中小企業的困擾。例如在單片機時代,PCB上一條導線隨便繞板兩圈布線,只要能連通,系統就能跑起來。但在DDR、PCIe等高速信號大量應用的場景下,兩根線差1cm可能就無法正常工作,硬件研發工程師們不得不嚴肅地對待信號完整性、電源完整性等問題。市面上關于信號完整性仿真類的書已經有不少,但大多偏向軟件使用或理論教學,真正以成功量產的真實產品為案例的不多,本書的出版無疑為電子工程師們多提供了一種選擇。
這些年我們國家的科技實力取得了長足的進步,FAST天眼、量子通信、大飛機、航母等讓人目不暇接,在這些大型工程的背后,是無數前仆后繼的工程師們的汗水與智慧,相信總有一天我們的電子科技水平會趕上甚至超過西方發達國家。在有些領域,比如手機產品,華為、小米、oppo/vivo等已經走出國門并取得了不錯的成績,只要工匠精神不息,相信其他領域也會一一突破,總有一天國人不再去日本買馬桶蓋。
蔣學東
2017年10月于深圳

前 言
1995年剛走出校門,當時所接觸電子產品的信號速率不是太高,PCB設計大多只需按Design Rule或憑自己的經驗處理即可,信號完整性問題不是很突出,甚至還沒有信號完整性的概念。隨著時間的推移,各類高速芯片相繼出現,從產品設計到產品交付的時間越來越短,這種僅憑經驗設計及調試硬件的方式已不能滿足產品開發的需求,針對新出現的問題,國內一些公司開始在產品開發過程中引入信號及電源完整性仿真手段。
當時國內在信號與電源完整性方面的研究還處于空白階段,加上互聯網交流也剛開始,很難找到信號與電源完整性方面的實用參考材料。作者在國內接觸的第一本SI方面的書籍為Howard Johnson英文版的High-Speed Digital Design,這本原著由陳蘭兵在一次去國外出差時買回,當時在公司內被集體研究并廣為傳播,可以說這本書對國內PCB設計時使用信號與電源完整性方法的發展起到了革命性的作用。現在信號與電源完整性的研究在國內已非常普及,出現了許多關于信號與電源完整性應用方面的參考書籍。關于國內信號與電源完整性的發展歷程可以參考筆者的《華為研發14載:那些一起奮斗過的互連歲月》一書,其中相關章節內容基本上是國內信號與電源完整性仿真發展的一個縮影。
雖然現在市面上有著種類繁多的關于信號與電源完整性仿真的書籍,但在通過EDA365平臺與廣大網友交流時總會聽到這樣的聲音:
(1)缺少信號與電源完整性仿真在實際產品中的全過程實例;
(2)市面上信號與電源完整性仿真這類書籍總體上原理偏多,即使有例子也不夠系統;
(3)內容重復較多,原創內容較少等。
針對這種狀況,為了方便初學者更快地掌握信號與電源完整性仿真的方法及工具使用,并在此基礎上快速上手進行項目仿真,本書的編寫以一個成功開發的高速數據加速卡產品為信號與電源完整性仿真對象,全書自始至終介紹了此高速產品在開發過程中各類信號接口的仿真過程,對于涉及的信號與電源完整性仿真方面的理論則以較為簡單的圖文結合的方式展開,以方便讀者更好地理解。除此之外,為增加讀者的系統性知識,還加入了PCB制造及電容S參數模型夾具設計方面的內容,并在最后免費提供兩個作者自己開發的用于提高PI仿真效率的軟件工具。因而本書除了內容系統、完整外,更偏于實用性,即使是一個完全沒有信號與電源完整性仿真概念的電子工程師,也可以在極短的時間內掌握常見信號的信號與電源完整性仿真流程及方法,并對項目進行初步仿真設計。
本書內容共分為14章,系統地介紹了一個實際產品開發過程中所用的全部接口信號的SI與PI仿真詳細過程。其中,第1、12、13、14章由毛忠宇編寫,第4、9、11章(PI原理部分)由楊晶晶編寫,第2、5、10、11章(PI仿真操作部分)由劉志瑞編寫,第3、6、7、8章由李生編寫,全書由毛忠宇統籌規劃并最終定稿。
各章內容主要包括:
第1章 產品簡介
第2章 PCB材料
第3章 PCB設計與制造
第4章 信號完整性仿真基礎
第5章 過孔仿真與設計
第6章 Sigrity仿真文件導入與通用設置
第7章 QSFP+信號仿真
第8章 SATA信號仿真
第9章 DDRx仿真
第10章 PCIe信號仿真
第11章 電源完整性仿真
第12章 電容概要
第13章 電容建模與測試
第14章 PI仿真平臺電容模型高效處理
本書編寫人員均具有10年以上PCB設計、高速仿真經驗,通過將產品開發與仿真設計緊密結合,使本書具更高的實用性,是一本非常接地氣的信號與電源完整性仿真的入門實踐教材。
本書從構思到初稿完成雖較倉促,我們還是盡了最大的努力使內容盡可能詳盡及更具系統性,但受到時間、知識與能力等方面的限制,書中難免會有錯誤及考慮不周的地方,懇請廣大讀者給予指正。
毛忠宇
217年8月于深圳
內容簡介:

目前市面上信號與電源完整性仿真書籍的內容普遍偏于理論知識或分散的仿真樣例,給讀者的感覺往往是“只見樹木不見森林”。針對這種情況,本書基于一個已成功開發的高速數據加速卡產品,從產品的高度介紹所有的接口及關鍵信號在開發過程中信號、電源完整性仿真的詳細過程,對涉及的信號與電源完整性仿真方面的理論將會以圖文結合的方式展現,方便讀者理解。為了使讀者能系統地了解信號與電源完整性仿真知識,書中還加入了PCB制造、電容S參數測試夾具設計等方面的內容,并免費贈送作者開發的高效軟件工具。 本書編寫人員都具有10年以上的PCB設計、高速仿真經驗,他們根據多年的工程經驗把產品開發與仿真緊密結合在一起,使本書具有更強的實用性。本書適合PCB設計工程師、硬件工程師、在校學生、其他想從事信號與電源完整性仿真的電子人員閱讀,是提高自身價值及競爭力的不可多得的參考材料。

目錄:

第1章 產品簡介
1.1 產品實物圖
1.2 產品背景
1.3 產品性能與應用場景
1.4 產品主要參數
1.5 主要器件參數
1.6 產品功能框圖
1.7 電源模塊
1.8 時鐘部分
1.9 DDR3模塊
1.10 散熱設計
1.11 產品結構圖
1.12 產品其他參數
第2章 PCB材料
2.1 PCB的主要部件及分類
2.1.1 PCB的主要部件
2.1.2 PCB分類
2.2 基材介紹
2.3 高速板材選擇
第3章 PCB設計與制造
3.1 PCB設計要求
3.2 制板工藝要求
3.3 常用PCB光繪格式
3.4 拼板設計
3.5 基準點設計
3.6 PCB加工流程簡介
第4章 信號完整性仿真基礎
4.1 信號完整性問題
4.2 信號完整性問題產生原因
4.3 傳輸線
4.3.1 常見的微帶線與帶狀線
4.3.2 傳輸線的基本特性
4.3.3 共模與差模
4.4 反射
4.5 串擾
4.6 仿真的必要性
4.7 仿真模型
4.7.1 IBIS模型
4.7.2 HSPICE模型
4.7.3 IBIS-AMI模型
4.7.4 S參數
4.8 常用信號、電源完整性仿真軟件介紹
第5章 過孔仿真與設計
5.1 過孔介紹
5.2 過孔對高速信號的影響要素及分析
5.3 過孔優化:3D_Via_Wizard過孔建模工具的使用
5.3.1 使用3D_Via_Wizard創建差分過孔模型
5.3.2 差分過孔仿真
5.4 產品單板高速差分信號過孔優化仿真
5.5 背鉆工藝簡介
第6章 Sigrity仿真文件導入與通用設置
6.1 PCB導入
6.1.1 ODB++文件輸出
6.1.2 PCB文件格式轉換
6.1.3 SPD文件導入
6.2 SPD文件設置
6.3 仿真分析與結果輸出
6.3.1 仿真掃描頻率設置
6.3.2 結果輸出與保存
第7章 QSFP+信號仿真
7.1 QSFP+簡介
7.2 QSFP+規范
7.3 仿真網絡設置
7.4 QSFP+光模塊鏈路在ADS中的仿真
7.5 仿真結果分析
7.5.1 添加信號判斷標準
7.5.2 TX0與RX0差分信號回環仿真分析
7.6 PCB優化設計比較與建議
7.6.1 焊盤隔層參考分析比較
7.6.2 高速差分不背鉆過孔分析比較
7.6.3 QSFP+布線通用要求
第8章 SATA信號仿真
8.1 SATA信號簡介
8.2 SATA信號規范
8.3 仿真網絡設置
8.4 SATA信號鏈路在SystemSI中的仿真
8.4.1 建立SystemSI仿真工程
8.4.2 創建仿真鏈路
8.4.3 添加仿真模型
8.4.4 設置鏈接屬性
8.4.5 設置仿真參數
8.4.6 仿真分析
8.5 結果分析與建議
第9章 DDRx仿真
9.1 DDRx簡介
9.2 項目介紹
9.3 DDR3前仿真
9.4 DDR3后仿真
9.4.1 仿真模型編輯
9.4.2 PCB的導入過程
9.4.3 仿真軟件通用設置
9.4.4 DDR3寫操作
9.4.5 DDR3讀操作
9.4.6 仿真結果分析
9.5 DDR3同步開關噪聲仿真
9.6 時序計算與仿真
9.7 DDR4信號介紹
第10章 PCIe信號仿真
10.1 PCIe簡介
10.2 PCIe規范
10.3 仿真參數設置
10.3.1 調用仿真文件
10.3.2 定義PCIe差分信號
10.3.3 設置PCIe網絡端口
10.3.4 仿真分析
10.3.5 S參數結果與輸出
10.4 PCIe鏈路在ADS中的仿真
10.4.1 建立ADS仿真工程
10.4.2 ADS中導入S參數文件
10.4.3 ADS頻域仿真
10.4.4 ADS時域仿真
10.4.5 通道的回環仿真
10.5 PCIe通用設計要求
第11章 電源完整性仿真
11.1 電源完整性
11.2 電源完整性仿真介紹
11.3 產品單板電源設計
11.4 產品單板AC仿真分析實例
11.4.1 PCB的AC仿真設置與分析
11.4.2 仿真結果分析
11.5 產品單板DC仿真分析實例
11.5.1 PCB的DC仿真設置與分析
11.5.2 DC仿真結果分析
11.6 PCB電源完整性設計關鍵點
第12章 電容概要
12.1 電容主要功能
12.2 電容分類
12.3 電容多維度比較
12.4 電容參數
12.5 電容等效模型
12.6 FANOUT
12.7 產品電容的擺放與FANOUT
12.8 SIP封裝電容
12.9 電容在設計中的選擇與注意事項
第13章 電容建模與測試
13.1 電容S參數模型測試夾具設計
13.2 電容S參數RLC擬合
13.3 電容S參數模型測試方式
13.4 電容S參數模型
13.5 電容RLC擬合提取過程
13.6 電容庫調用時的連接方式設定
13.7 常用電容等效R、L、C值及諧振表
第14章 PI仿真平臺電容模型高效處理
14.1 背景
14.2 處理ODB++文件小軟件工具使用
14.3 Sigrity調入處理過的ODB++文件
14.4 BOM處理技巧
14.5 License免費授權
序: