3dwoo大學簡體電腦書店
現代數字系統設計——基于Intel FPGA可編程邏輯器件與VHDL
( 簡體 字)
作者:孫延鵬、房啟志、雷斌類別:1. -> 電子工程 -> FPGA
出版社:清華大學出版社現代數字系統設計——基于Intel FPGA可編程邏輯器件與VHDL 3dWoo書號: 53487
詢問書籍請說出此書號!
有庫存
NT售價: 345
出版日:8/1/2020
頁數:287
光碟數:0
站長推薦:
印刷:黑白印刷語系: ( 簡體 字 )
ISBN:9787302553007 加入購物車加到我的最愛 (請先登入會員)
(簡體書上所述之下載連結耗時費功, 恕不適用在台灣, 若讀者需要請自行嘗試, 恕不保證, 繁體書的下載亦請直接連絡出版社)
第1章EDA技術概述
1.1ASIC綜述
1.2電子設計自動化技術
1.2.1EDA技術的發展歷程
1.2.2EDA技術主要內容
1.2.3可編程邏輯器件
1.2.4軟件開發工具
1.2.5輸入方式
1.2.6相關廠商概述
1.3數字系統的設計方法
1.3.1TopDown設計方法
1.3.2數字系統設計的一般步驟
1.3.3IP核介紹
1.4EDA技術的發展趨勢
1.4.1可編程器件的發展趨勢
1.4.2開發工具的發展趨勢
1.4.3輸入方式的發展趨勢
1.5本章小結
第2章CPLD/FPGA結構
2.1可編程邏輯器件的基本結構及分類
2.1.1基本結構
2.1.2PLD器件的分類
2.2低密度可編程邏輯器件
2.3Intel公司的CPLD
2.3.1MAX3000A器件
2.3.2MAXⅡ器件
2.4Intel公司的FPGA
2.4.1FPGA的優勢
2.4.2Intel公司的FPGA器件的結構特點
2.5Intel公司CPLD/FPGA編程和配置
2.5.1Intel公司的USBBlaster下載電纜
2.5.2使用USBBlaster電纜時的三種配置模式
2.5.3采用Intel公司的芯片進行配置
2.6本章小結
第3章QuartusPrime軟件設計
3.1使用QuartusPrime進行圖形化設計
3.1.1創建工作庫
3.1.2利用工程向導創建工程
3.1.3圖形設計輸入
3.1.4項目編譯
3.1.5時序仿真
3.2使用QuartusPrime進行VHDL設計
3.2.1VHDL文本輸入
3.2.2ModelSimAltera介紹
3.2.3TestBench編寫
3.2.4調用ModelSimAlteraRTL仿真
3.2.5調用ModelSimAltera門級仿真
3.2.6引腳分配
3.2.7分析與綜合
3.2.8布局與布線
3.2.9器件編程
3.3QuartusPrime的IP使用
3.4SignalTapⅡ邏輯分析儀的應用
3.5本章小結


第4章VHDL基礎
4.1VHDL概述
4.1.1VHDL起源
4.1.2VHDL的特點
4.2VHDL的基本結構
4.2.1庫
4.2.2程序包
4.2.3實體
4.2.4結構體
4.2.5配置
4.3VHDL的數據及文字規則
4.3.1VHDL文字規則
4.3.2VHDL數據對象
4.3.3VHDL數據類型
4.3.4VHDL類型轉換
4.4VHDL操作符
4.4.1操作符種類
4.4.2操作符的優先級
4.4.3邏輯操作符
4.4.4關系操作符
4.4.5算術操作符
4.5VHDL順序語句
4.5.1賦值語句
4.5.2流程控制語句
4.5.3WAIT等待語句
4.5.4子程序調用語句
4.5.5返回語句
4.5.6空操作語句
4.5.7其他順序語句
4.6VHDL并行語句
4.6.1塊語句
4.6.2進程語句
4.6.3并行過程調用語句
4.6.4并行信號賦值語句
4.6.5元件例化語句
4.6.6生成語句
4.7有限狀態機的設計
4.7.1一般有限狀態機的設計
4.7.2Moore型有限狀態機的設計
4.7.3Mealy型有限狀態機的設計
4.8VHDLTestBench
4.8.1TestBench結構
4.8.2常用激勵信號的產生
4.9本章小結
第5章CPLD/FPGA應用實踐
5.1常用組合邏輯電路的描述
5.1.1非門電路的設計
5.1.2其他基本門電路的設計
5.2基本時序邏輯電路的VHDL描述
5.2.1D觸發器的設計
5.2.2T觸發器的設計
5.2.3JK觸發器的設計
5.2.4串行移位寄存器的設計
5.2.5分頻電路的設計
5.3常用算法VHDL實現
5.3.1流水線加法器的設計
5.3.28位乘法器的設計
5.3.34抽頭直接FIR濾波器的設計
5.3.4IIR數字濾波器的設計
5.4TestBench中隨機數的設計
5.5二進制頻移鍵控調制與解調的VHDL實現
5.5.1FSK調制的VHDL實現
5.5.2FSK信號解調的VHDL實現
5.6基于DDS信號發生器的設計
5.6.1DDS設計及原理
5.6.2FPGA內部的DDS模塊的設計與實現
5.6.3仿真結果及說明
5.7SD卡驅動器設計
5.7.1SD卡電路結構
5.7.2SD卡命令
5.7.3SD卡數據讀取流程
5.7.4SD卡數據讀取代碼說明
5.8SDRAM控制器設計
5.8.1SDRAM引腳、命令和模式寄存器介紹
5.8.2SDRAM初始化
5.8.3SDRAM讀寫操作
5.8.4SDRAM自動刷新時序
5.8.5SDRAM控制器
5.9利用VGA接口顯示SD卡圖像數據
5.10本章小結
第6章DE115平臺數字系統設計練習
6.13線/8線譯碼器實驗
6.1.1實驗目的
6.1.2實驗說明
6.1.3實驗要求
6.1.4總結報告要求
6.2BCD/七段顯示譯碼器實驗
6.2.1實驗目的
6.2.2實驗說明
6.2.3實驗要求
6.2.4總結報告要求
6.3模擬74LS160計數器實驗
6.3.1實驗目的
6.3.2實驗說明
6.3.3實驗要求
6.3.4總結報告要求
6.4多路彩燈控制器的設計
6.4.1實驗目的
6.4.2實驗說明
6.4.3實驗要求
6.4.4總結報告要求
6.5分頻器的設計
6.5.1實驗目的
6.5.2實驗說明
6.5.3實驗要求
6.5.4總結報告要求
6.6數字頻率計的設計
6.6.1實驗目的
6.6.2實驗說明
6.6.3實驗要求
6.6.4總結報告要求
6.7數字鐘的設計
6.7.1實驗目的
6.7.2實驗說明
6.7.3實驗要求
6.7.4總結報告要求
6.8正弦信號發生器
6.8.1實驗目的
6.8.2實驗說明
6.8.3任意頻率信號發生器的實現原理
6.8.4實驗要求
6.8.5總結報告要求
6.9數字電壓表的設計
6.9.1實驗目的
6.9.2實驗原理
6.9.3實驗要求
6.9.4總結報告要求
6.10LCD1602控制器的設計
6.10.1實驗目的
6.10.2實驗原理
6.10.3實驗要求
6.10.4總結報告要求
6.11UART控制器的設計
6.11.1實驗目的
6.11.2實驗原理
6.11.3實驗要求
6.11.4總結報告要求
6.12VGA控制器的設計
6.12.1實驗目的
6.12.2實驗原理
6.12.3實驗要求
6.12.4總結報告要求
6.13本章小結
第7章DE2115開發平臺
7.1DE2115平臺介紹
7.2DE2115主要應用電路介紹
7.2.1FPGA芯片配置
7.2.2按鈕和撥動開關的使用
7.2.3LED的使用
7.2.4七段數碼管的使用
7.2.5時鐘電路的使用
7.2.6LCD模塊的使用
7.2.7VGA的使用
7.2.824bit音頻編解碼芯片的使用
7.2.9RS232串口的使用
7.2.10PS2的使用
7.2.11千兆以太網的使用
7.2.12TV解碼器的使用
7.2.13USB的使用
7.2.14IR模塊的使用
7.2.15SRAM模塊的使用
7.2.16SDRAM的使用
7.2.17Flash的使用
7.2.18E2PROM的使用
7.2.19SD卡的使用
7.2.20GPIO的使用
7.3本章小結
參考文獻
本書從基礎、應用、實踐三個角度,詳細介紹了EDA技術概況、VHDL語言基本設計方法,Intel CPLD/FPGA介紹與應用、QuartusII的使用,書中所列實例多來自科研和教學實踐活動,注重內容的循序漸進性,且經過驗證。本書可作為工科高等院校電子信息類高年級本科生、專科生教材以及畢業設計參考資料,也可以作為相關專業研究生參考資料。
隨著集成電路技術和計算機技術的飛速發展,現在電子系統的設計和應用進入了全新的時代。傳統的手工設計過程正在被先進的電子設計自動化(Electronic Design Automation,EDA)技術取代。目前EDA技術已經成為支撐現代電子設計的通用平臺,并且向支持系統級設計發展。只有以硬件描述語言(Hardware Description Languages,HDL)和邏輯綜合為基礎的自頂向下的設計方法才能滿足日趨復雜的數字系統設計需求。掌握這些現代化設計思想和EDA工具,已經成為從事信息技術和電子系統設計領域的工程師必備的一項基本專業技能。

幾乎所有廠家的EDA工具都支持HDL輸入方式,因此掌握EDA技術就必須要學會HDL。目前比較流行的HDL有VHDL和Verilog HDL兩種。VHDL是美國電氣和電子工程師協會制定的標準硬件描述語言(IEEE標準1076),是世界上第一個標準化的HDL,它可以用于數字電路與系統的描述、仿真和自動設計,當前使用較為廣泛。

本書力求將理論與實踐相結合,更加注重實用性。書中針對電子信息類學生的特點,沿著入門—基礎—理論—實踐的主線組織內容,所列實例重點突出信號處理和數字通信方向,大量實例都圍繞基本數字電路的VHDL描述、數據采集與處理、基本算法和數字信號處理與數字通信技術展開,有作者獨到的見解。在第6章,為了便于本類課程實驗的開展,本著引導學生由易到難深入學習的原則,編寫了部分實驗指導。
本書共分7章。第1章主要從宏觀角度介紹數字系統設計和EDA技術; 第2章主要介紹PLD器件的發展演變、分類、結構以及CPLD/FPGA的結構,重點介紹了當前主流Intel CPLD/FPGA廠家的系列器件的結構及使用; 第3章結合實例主要介紹了Quartus Prime工具軟件的使用方法,包括輸入、綜合、仿真和下載等環節內容; 第4章重點介紹VHDL語言要素、基本語法、狀態機、TestBench設計等內容; 第5章介紹常用數字電路模塊的VHDL描述方法,常用算法VHDL實現,通過正弦波信號發生器的設計、基于SD卡圖像數據讀寫緩存及顯示等綜合實例說明了如何用VHDL設計常用數字電路與系統; 第6章介紹基于DE2115平臺設計的12個實驗內容,并給出VHDL源文件以及測試文件便于初學者參考; 第7章介紹DE2115平臺的結構特點以及應用方法。

本書由沈陽航空航天大學孫延鵬教授統稿,雷斌審閱了全部書稿,并提出了許多寶貴意見。第1章由北京至芯開源科技有限責任公司的雷斌編寫,沈陽航空航天大學的房啟志老師參與第2、3、6、7章的部分內容的編寫,其余章節由孫延鵬編寫并最終定稿。在本書編寫過程中,部分案例的設計與驗證得到了北京至芯開源科技有限公司郝旭帥工程師的大力支持和幫助,在此表示感謝。
由于作者水平有限,加之時間倉促,書中難免有不當和錯誤之處,懇請讀者批評指正。

作者2019年12月
pagetop