-- 會員 / 註冊 --  
 帳號:
 密碼:
  | 註冊 | 忘記密碼
3/26 新書到! 3/19 新書到! 3/14 新書到! 12/12 新書到!
購書流程Q & A站務留言版客服信箱
3ds MaxMayaRhinoAfter EffectsSketchUpZBrushPainterUnity
PhotoShopAutoCadMasterCamSolidWorksCreoUGRevitNuke
C#CC++Java遊戲程式Linux嵌入式PLCFPGAMatlab
駭客資料庫搜索引擎影像處理FluentVR+ARANSYS深度學習
單晶片AVROpenGLArduinoRaspberry Pi電路設計CadenceProtel
HadoopPythonStm32CortexLabview手機程式AndroidiPhone
可查書名,作者,ISBN,3dwoo書號
詳細書籍分類

ModelSim電子系統分析及仿真(第3版)

( 簡體 字)
作者:于斌,謝龍漢類別:1. -> 電子工程 -> 電子電氣
譯者:
出版社:電子工業出版社ModelSim電子系統分析及仿真(第3版) 3dWoo書號: 52142
詢問書籍請說出此書號!

缺書
NT售價: 345

出版日:11/1/2019
頁數:376
光碟數:0
站長推薦:
印刷:黑白印刷語系: ( 簡體 版 )
加入購物車 加到我的最愛
(請先登入會員)
ISBN:9787121375651
作者序 | 譯者序 | 前言 | 內容簡介 | 目錄 | 
(簡體書上所述之下載連結耗時費功, 恕不適用在台灣, 若讀者需要請自行嘗試, 恕不保證)
作者序:

譯者序:

前言:

第3版前言
ModelSim是Mentor Graphics公司開發的EDA工具軟件,是一款主要應用于HDL仿真的軟件,為調試設計提供了強有力的支持。
ModelSim是一款功能強大的仿真軟件,不僅支持對HDL的仿真,還支持SystemC等語言調試和仿真,使得在整個的設計中可以采用更靈活的手段來完成設計功能。
在仿真的過程中,ModelSim可以獨立完成HDL代碼的仿真,還可以結合FPGA開發軟件對設計單元進行時序仿真,得到更加真實的仿真結果。大多數FPGA廠商都提供了ModelSim接口,使得設計者在器件的選擇和結果的掌握上更加得心應手。
本書第1版在2011年出版以來,獲得了廣大讀者的廣泛好評,已多次重印。并且,很多讀者來信介紹他們具體應用ModelSim的情況,對本書提出了很多寶貴意見和建議。在此基礎上,根據讀者的建議、結合相關企業應用的需求和高校教學需求,再根據作者多年的教學和工作經驗,進行了多次修訂。第3版是在最新軟件版本ModelSim 10.4的基礎上寫作的,基于64位操作系統,更加貼合實際應用,可以更好地幫助讀者深入應用ModelSim。
本書在編寫過程中,突出了以下特點。
1.直觀易懂性
全書以實例圖解的形式介紹基礎知識和實例操作,所有知識點和操作流程盡可能給出配套圖片,直觀易懂,使讀者能夠在最短的時間內獲取最多的知識。
2.可擴展性
全書以ModelSim SE 10.4版軟件為平臺進行講解,在講解過程中提供了命令行操作和菜單操作兩種操作方法,命令行操作使得講解的知識更具擴展性。
3.實用性
全書采用了基礎知識介紹和實例操作相結合的方法,互相補充,書中的實例都是具有實際意義的設計實例,并根據內容的不同進行了選取,使讀者能夠更好地理解操作的過程,讀者在學完本書后能夠快速地將知識應用于生產實踐。
4.結構清晰,講解詳盡
全書采用從基礎知識到綜合實例的循序漸進的講解方法,一步一步地提高讀者的仿真技能,而且每個知識點和實例都做了盡可能的詳細講解,使讀者學習起來輕松自如。
5.多媒體示范
本書的配套資源中提供了所有實例的視頻操作 ,讀者可以在觀看視頻時增強對知識點的理解。同時,視頻中操作的步驟嚴格按照書中實例的步驟進行,可以看到文字難以描述的過程,讀者可以加QQ群(970090855)獲取配套資源。
本書分為8章,分別介紹概述、操作界面、工程和庫、ModelSim對不同語言的仿真、利用ModelSim進行仿真分析、ModelSim的協同仿真、ModelSim對不同公司器件的后仿真、ModelSim的文件和腳本等內容。
第1章 概述。介紹IC設計的基本流程和ModelSim不同版本的特點和功能,并給出一個簡單的實例,以使讀者快速地掌握使用ModelSim進行基本仿真的流程。
第2章 操作界面。介紹ModelSim的基本操作界面,包括菜單欄中各命令的基本功能和主界面中工作區、命令區、MDI區的功能,并介紹仿真中經常使用到的窗口。
第3章 工程和庫。介紹工程和庫的相關知識,給出詳細的工程管理方法和庫的建立及導入方式,并給出了實例。
第4章 ModelSim對不同語言的仿真。介紹使用ModelSim對Verilog語言和VHDL的仿真方法,并分別配以實例進行講解,給出了對SystemC的仿真方法和三種語言混合仿真所需注意的事項。
第5章 利用ModelSim進行仿真分析。介紹如何使用ModelSim觀察仿真結果,進行仿真分析,主要包括WLF文件、創建波形激勵、波形分析、存儲器查看、數據流窗口、原理圖窗口、性能分析、信號探測和利用JobSpy進行批處理等內容,在章末配有多個實例來演示這些功能。
第6章 ModelSim的協同仿真。介紹如何使用其他軟件工具與ModelSim進行系統仿真,彌補ModelSim的不足,主要介紹了使用Debussy和Matlab與ModelSim進行仿真的配置方法和步驟,并給出了實例。
第7章 ModelSim對不同公司器件的后仿真。介紹利用FPGA開發工具與ModelSim聯合進行后仿真的過程,以Intel、Xilinx、Lattice等業界主流廠商的開發工具為例,并結合實例進行演示。
第8章 ModelSim的文件和腳本。介紹前7章中涉及的文件類型,包括SDF文件、VCD文件、Tcl文件、DO文件等,這些文件都是在仿真中有重要作用的文件,在本章統一進行講解,并配以實例進行演示。
本書1∼4章主要由哈爾濱理工大學于斌編寫,5∼8章主要由哈爾濱理工大學黃海編寫,參與本書編寫和配套資源開發的還有謝龍漢等。由于時間倉促,書中難免有疏漏之處,請讀者諒解。。

編 者
內容簡介:

ModelSim是優秀的HDL仿真軟件之一,它能提供友好的仿真環境,是業界唯一單內核支持VHDL和Verilog混合仿真的仿真器,它采用直接優化的編譯技術、Tcl/Tk技術和單一內核仿真技術,編譯仿真速度快,編譯的代碼與平臺無關,便于保護IP核,個性化的圖形界面和用戶接口,為用戶加快調錯提供強有力的手段,是FPGA/ASIC設計的首選仿真軟件。 本書以ModelSim SE 10.4版軟件為平臺,由淺入深、循序漸進地介紹ModelSim 10.4軟件各部分知識,包括ModelSim 10.4的基礎知識、菜單命令、庫和工程的建立與管理、Verilog/VHDL文件編譯仿真、采用多種方式分析仿真結果,以及與多種軟件聯合仿真等知識。書中配有大量插圖,并結合實例詳細地講解使用ModelSim進行仿真操作的基本知識和方法技巧,配書光盤中有本書實例操作的視頻講解,讀者能夠輕松學習。
目錄:

第1章 概 述 1
1.1 IC設計與ModelSim 2
1.1.1 IC設計基本流程 2
1.1.2 ModelSim概述 3
1.2 ModelSim應用基本流程 5
1.3 ModelSim基本仿真流程 5
1.3.1 創建一個工作庫 6
1.3.2 編譯設計文件 7
1.3.3 運行仿真 8
1.3.4 查看結果 9
1.4 ModelSim工程仿真流程 9
1.4.1 創建工程及工程庫 10
1.4.2 創建新文件 11
1.4.3 加載設計文件 12
1.4.4 編譯源文件 13
1.4.5 運行仿真和查看結果 14
1.4.6 工程調試 14
第2章 操 作 界 面 16
2.1 整體界面 17
2.2 菜單欄 17
2.2.1 File菜單 18
2.2.2 Edit菜單 25
2.2.3 View菜單 28
2.2.4 Compile菜單 29
2.2.5 Simulate菜單 31
2.2.6 Add菜單 34
2.2.7 Tools菜單 34
2.2.8 Layout菜單 40
2.2.9 Bookmarks菜單 40
2.2.10 Window菜單 41
2.2.11 Help菜單 43
2.3 工具欄 43
2.4 標簽區 44
2.5 命令窗口 45
2.6 MDI窗口 45
2.6.1 源文件窗口 46
2.6.2 波形窗口 47
2.6.3 列表窗口 48
2.6.4 數據流窗口 48
2.6.5 屬性窗口 49
2.6.6 進程窗口 50
2.6.7 對象窗口 50
2.6.8 存儲器窗口 51
2.6.9 原理圖窗口 51
2.6.10 觀察窗口 52
2.6.11 狀態機窗口 52
2.7 界面的設置 53
2.7.1 定制用戶界面 53
2.7.2 設置界面參數 55
第3章 工 程 和 庫 57
3.1 ModelSim工程 58
3.1.1 刪除原有工程 58
3.1.2 開始一個新工程 58
3.1.3 工程標簽 60
3.1.4 工程編譯 61
3.1.5 仿真環境配置 64
3.1.6 工程文件組織 66
3.1.7 工程及文件屬性設置 68
實例3-1 工程文件管理 73
3.2 ModelSim庫 77
3.2.1 概述 78
3.2.2 庫的創建及管理 78
3.2.3 資源庫管理 81
3.2.4 導入FPGA的庫 81
3.2.5 本節實例 83
第4章 ModelSim對不同語言的仿真 89
4.1 VHDL仿真 90
4.1.1 VHDL文件編譯 90
4.1.2 VHDL設計優化 91
4.1.3 VHDL設計仿真 97
4.1.4 還原點和仿真恢復 102
4.1.5 TEXTIO的使用 103
實例4-1 VHDL設計的仿真全過程 105
4.2 Verilog仿真 110
4.2.1 Verilog文件編譯 110
4.2.2 Verilog設計優化 112
4.2.3 Verilog設計仿真 112
4.2.4 還原點和仿真恢復 118
4.2.5 單元庫 118
4.2.6 系統任務和系統函數 119
4.2.7 編譯指令 121
實例4-2 32位浮點乘法器的Verilog仿真過程 122
4.3 SystemC仿真 127
4.3.1 概述 127
4.3.2 SystemC文件的編譯和鏈接 128
4.3.3 設計仿真和調試 133
4.3.4 常見錯誤 135
4.4 混合語言仿真 137
4.4.1 編譯過程與公共設計庫 137
4.4.2 映射數據類型 139
4.4.3 VHDL調用Verilog 142
4.4.4 Verilog調用VHDL 144
4.4.5 SystemC調用Verilog 144
4.4.6 Verilog調用SystemC 145
4.4.7 SystemC調用VHDL 146
4.4.8 VHDL調用SystemC 147
第5章 利用ModelSim進行仿真分析 149
5.1 仿真概述 150
5.2 WLF文件和虛擬對象 151
5.2.1 保存仿真狀態 151
5.2.2 Dataset結構 154
5.2.3 Dataset管理 155
5.2.4 虛擬對象 157
5.3 利用波形編輯器產生激勵 160
5.3.1 創建波形 160
5.3.2 編輯波形 166
5.3.3 導出激勵文件并使用 169
5.4 ModelSim波形分析 172
5.4.1 波形窗口和列表窗口 172
5.4.2 時間標記 174
5.4.3 窗口的縮放 175
5.4.4 在窗口中搜索 177
5.4.5 窗口的格式編排 178
5.4.6 波形和列表的保存 181
5.4.7 信號總線 183
5.4.8 光標操作 183
5.4.9 其他功能 184
5.4.10 波形比較 185
5.5 存儲器的查看和操作 191
5.5.1 存儲器的查看 191
5.5.2 存儲數據的導出 193
5.5.3 存儲器初始化 195
5.5.4 存儲器調試 195
5.6 數據流窗口的使用 196
5.6.1 概述 196
5.6.2 設計連通性分析 197
5.6.3 信號追蹤和查找 198
5.6.4 設置和保存打印 200
5.6.5 本節實例 201
5.7 原理圖窗口的使用 205
5.8 狀態機窗口的使用 209
5.9 ModelSim的剖析工具 211
5.9.1 運行性能剖析和存儲器剖析 211
5.9.2 查看性能剖析結果 212
5.9.3 查看存儲器剖析報告 216
5.9.4 保存結果 216
5.10 覆蓋率檢測 218
5.10.1 啟用代碼覆蓋 218
5.10.2 覆蓋率的查看 223
5.10.3 覆蓋率檢測的過濾 226
5.10.4 覆蓋信息報告 228
5.11 信號探測 231
5.12 采用JobSpy控制批處理仿真 233
5.12.1 JobSpy功能與流程 234
5.12.2 運行JobSpy 234
5.13 綜合實例 236
實例5-1 三分頻時鐘的分析 236
實例5-2 同步FIFO的仿真分析 244
實例5-3 基2的SRT除法器的仿真分析 250
第6章 ModelSim的協同仿真 258
6.1 ModelSim與Debussy的協同仿真 259
6.1.1 Debussy工具介紹 259
6.1.2 Debussy配置方法 263
實例6-1 與Debussy的協同仿真 266
6.2 ModelSim與Matlab的協同仿真 274
實例6-2 與Matlab的協同仿真 277
實例6-3 與Simulink的協同仿真 282
實例6-4 使用cosimWizard進行協同仿真 291
第7章 ModelSim對不同公司 器件的后仿真 301
7.1 ModelSim對Intel器件的后仿真 302
7.1.1 QuartusPrime簡介 302
7.1.2 后仿真流程 304
實例7-1 直接采用QuartusPrime調用ModelSim進行仿真 305
實例7-2 先用QuartusPrime創建工程,再用ModelSim進行時序仿真 318
7.2 ModelSim對Xilinx器件的后仿真 325
7.2.1 Vivado簡介 325
7.2.2 后仿真流程 326
實例7-3 用VIVADO對全加器進行時序仿真 326
實例7-4 用VIVADO直接調用ModelSim進行時序仿真 336
7.3 ModelSim對Lattice器件的后仿真 341
7.3.1 Diamond簡介 341
7.3.2 后仿真流程 342
實例7-5 用Diamond對全加器進行時序仿真 342
實例7-6 用Diamond完成布局繞線,使用ModelSim進行時序仿真 348
第8章 ModelSim的文件和腳本 351
8.1 SDF文件 352
8.1.1 SDF文件的指定和編譯 352
8.1.2 VHDL的SDF 354
8.1.3 Verilog的SDF 354
8.1.4 SDF文件信息 356
8.2 VCD文件 358
8.2.1 創建一個VCD文件 358
8.2.2 使用VCD作為激勵 360
8.2.3 VCD任務 361
8.2.4 端口驅動數據 362
8.3 Tcl和DO文件 364
8.3.1 Tcl命令 364
8.3.2 Tcl語法 364
8.3.3 ModelSim的Tcl時序命令 365
8.3.4 宏命令 366
8.3.5 本節實例 368
序: